
WordPressを使ったブログにVHDLの記事を書きたいと思っていましたが、せっかくなのでソースコードはキレイに記載したいです。
そのままソースコードを記載するとただのテキストとして表示されるので、見た目も悪いし、読み辛いですよね。
そこでVHDLのソースコードをキレイに表示してくれるプラグインを検索したら、”Enlighter – Customizable Syntax Highlighter”というものを見つけました。

早速インストールして有効化します。
すると”Enlighter Sourcecode”が追加されたので、これを選択してみます。

選択すると以下の画面が表示されました。

上の画面の赤丸は”Select Language”というメニューです。
ここをクリックすると以下の画面のように言語が選択できるのでVHDLを選択します。

選択が終わると以下の画面が表示されます。
“Generic Highlighting”の表示が”VHDL”に変わりました。

ここに簡単なVHDLのソースコードを記載してみます。
試験的に書いたソースコードなので、文法間違ってたらすいません。。。

すると以下のようにキレイな表示に!(なっているかな?)
library ieee; use ieee.std_logic_1164.all; entity sample is port( in_a : in std_logic_vector(3 downto 0); in_b : in std_logic_vector(3 downto 0); out_c : out std_logic_vector(3 downto 0) ); end; architecture rtl of sample is begin out_c <= in_a and in_b; end rtl;
プラグインをインストールしただけでキレイにVHDLのソースコードを表示させることができました!
これからVHDLでFPGAの設計もやっていきたいと思っています。
